« Cloud de FPGA » : différence entre les versions

Un article de Wikipédia, l'encyclopédie libre.
Contenu supprimé Contenu ajouté
Ajustand (discuter | contributions)
Balise : Modification d'une page utilisateur tierce
Ajustand (discuter | contributions)
Aucun résumé des modifications
Balise : Modification d'une page utilisateur tierce
Ligne 103 : Ligne 103 :
- la mémoire de l'hyperviseur FPGA à la VM de gestion (Dom0).
- la mémoire de l'hyperviseur FPGA à la VM de gestion (Dom0).
Les FIFO frontend et les mémoires FPGA sont reliés aux fichiers de périphérique au sein de l'hyperviseur hôte.
Les FIFO frontend et les mémoires FPGA sont reliés aux fichiers de périphérique au sein de l'hyperviseur hôte.
Le système du prototype transmet les périphériques de l'utilisateur à la VM en utilisant une communication inter domaine comme vchan de Zhang et al [25] pour notre environement virtualisé Xen , similaire au pvFPGA<ref name="Wang_2013_01">{{harvsp|Skhiri|2019|p=1|id=Wang2013}}</ref>.
Le système du prototype transmet les périphériques de l'utilisateur à la VM en utilisant une communication inter domaine comme vchan de Zhang et al <ref name="Zhang_2007_185">{{harvsp|Zhang|2007|p=185|id=Zhang2007}}</ref> pour notre environement virtualisé Xen , similaire au pvFPGA<ref name="Wang_2013_01">{{harvsp|Skhiri|2019|p=1|id=Wang2013}}</ref>.
Seul les hyperviseurs peuvent configurer les régions vFPGA sur le FPGA car la VM de gestion accède à la mémoire de configuration de l'hyperviseur et à l'ICAP sur le FPGA.
Seul les hyperviseurs peuvent configurer les régions vFPGA sur le FPGA car la VM de gestion accède à la mémoire de configuration de l'hyperviseur et à l'ICAP sur le FPGA.
Ligne 972 : Ligne 972 :
| pages = 337 - 344
| pages = 337 - 344
| doi = 10.1109/DSD.2015.37
| doi = 10.1109/DSD.2015.37
}}

* {{article
| lang = en
| id = Zhang2007
| prénom1 = Xiaolan
| nom1 = Zhang
| prénom2 = Suzanne
| nom2 = McIntosh
| prénom3 = Pankaj
| nom3 = Rohatgi
| prénom4 = John
| nom4 = Linwood Griffin
| titre = XenSocket: A High-Throughput Interdomain Transport for Virtual Machines
| périodique = ACM/IFIP/USENIX International Conference on Distributed Systems Platforms and Open Distributed Processing Middleware 2007
| volume =
| numéro =
| isbn = 978-3-540-76777-0
| année = 2007
| mois = Aug
| pages = 184 - 203
| doi = 10.1007/978-3-540-76778-7_10
}}
}}



Version du 14 décembre 2020 à 09:46

Ces dernières années,les cloud FPGA commencent à émerger dans le domaine du cloud computing. Les FPGA ont été déployés dans des centres de données des principaux fournisseurs de services cloud, tels que Microsoft, Amazon, Alibaba et Huawei.

Les cloud FPGA offrent des avantages par rapport aux cloud classique en termes de performances et de sécurité. L’utilisation du cloud FPGA intervient dans différents domaines tel que la médecine,  la finance et de la recherche.  Au fil des années les cloud FPGA se sont virtualisés pour être multi-utilisateurs. La virtualisation a eu un fort impact sur l’architecture des cloud FPGA

Motivations

Particulièrement reconfigurable matériel, comme les FPGA (Field Programmable Gate Arrays) offrir la possibilité d’améliorer les performances de calcul [3], sécurité [4] et efficacité énergétique [5]. Copyright (c) IARIA, 2017. ISBN: 978-1-61208-585-2

[3] T. El-Ghazawi, E. El-Araby, M. Huang, et al., “The promise of high-performance reconfigurable computing”, IEEE Computer, vol. 41, no. 2, pp. 69–76, 2008. [4] J.-A. Mondol, “Cloud security solutions using FPGA”, in PacRim, Pacific Rim Conf. on, IEEE, 2011, pp. 747–752. [5] A. Putnam, A. M. Caulfield, E. S. Chung, et al., “A reconfigurable fabric for accelerating large-scale datacenter services”, in Computer Architecture (ISCA), 41st Int’l Symp. on, 2014


Accélération des Calculs

L'une des motivations de l'utilisation des FPGA dans le cloud, c'est l'accélération des calculs. Les FPGA sont utilisés en co-processeur, le processeurs exécute l'application et le FPGA gère les noyaux qui ont un temps d'exécution long. [1] Certains FPGA sont utilisés comme accélérateurs statiques, conçus une seule fois et utilisés pour une seule fonction. [2]. Les systèmes combinant processeur et FPGA offrent une bande passante de données extrêmement élevée permettant à des applications de haute performance avec une exécution matérielle et logicielle entrelacée, comme par exemple la puce Xilinx Zynq[3].



[4]

Sécurisation du Cloud

La sécurité du cloud est un sujet important. Le chiffrement homomorphe est une technique permettant d'effectuer des calculs sur des données chiffrées. L'utilisation d'un accélérateur FPGA dédié répondant à la demande informatique prohibitive du cryptage homomorphique permet des améliorations significatives en termes de temps d'exécution. [5]

[6]

Architecture

A découper plus finement par type de service (IaaS, Paas...?) [7] [8] [9]


[10] [11]


Virtualisation

[12] [13] [14] [15] [16] [17] [4] [18] [19]

Exemple de rédaction qui fait partie d'une sous-section : /Focus sur quelques modèles de virtualisation du FPGA dans le cloud

Approche de la virtualisation du FPGA du modèle [20]


pour pouvoir intégrer un environement cloud, le matériel FPGA doit lui aussi être virtualiser sous forme de vFPGA aussi compétitif qu'une autre ressource.

- configuration d'un FPGA virtuel dans un environement cloud

les domaines d'applications du FPGA dans le cloud doit passer par une utilisation directe des ressources du matériel. L'approche de cet article repose sur l'utilisation de VM correspondant à une virutalisation de type 1 barre métal, et d'un hyperviseur [21]. Cette approche permet à plusieurs utilisateurs d'utiliser le même FPGA et par extension l'utilisation de plusieurs vFPGA pour le même matériel. Le vFPGA doit fonctionner indépendament du matériel avec sa propre gestion de l'infrastructure comme la synchronisation et la réinitialisation. Pour répondre à l'elasticité du cloud, la migration des vFPGA doit être réalisé avec son contexte complet (registres et BlockRAM) [22].

- Approche de virtualisation FPGA

cet article propose de vritualiser le FPGA de la même manière qu'un système de VM paravirtualisé réaliser par un hyperviseur. La virtualisation est bornée aux régionx reconfigurablex qui composent les vFPGA et représentent un domaine sans privilège (DomU). Chaque création d'un vFPGA utilise le flux de conception traditionnelle avec des régions définis pour la reconfiguration partielle[23] dynamique et les interfaces statiques. Les vFPGA peuvent avor des tailles différentes et fonctionner de manière indépendantes les unes des autres. L'infrastructure encapsulé des vFPGA se trouve dans la région statique correspondant à un domaine priviligié (Dom0). l'interface des vFPGA est une soit-disant interface frontal mappé à l'interface de l'hyperviseur qui est relié au backend de la région statique du vFPGA. Dans ce dernier, toutes les interfaces sont reliées au point de terminaison PCie et à la carte du controleur mémoire qui sert à gérer les états du vFPGA.


Prototype FPGA RC2F [24]


Le prototype RC2F proposé dans cette article[25] propose de multiple vFPGA utilisateurs fonctionnant sur un seul FPGA physique. La partie principale est composée d'un hyperviseur qui gère la configuration, les coeurs utilisateurs et la surveillance des informations d'état. L'espace mémoire du contrôleur est disponible depuis l'hôte via une API. Les FIFO d'entrée, sortie procurent un débit élévé pour des applications de streaming. Les vFPGA apparaissent comme un périphérique individuel au sein de la VM du point de vue de l'utilisateur.

A. Architecture du système

les FPGA sont situé sur sur le système d'hôte accessible depuis l'interface PCie. Sur les deux composants hôte et FPGA, il existe un hyperviseur qui gèrent les accès, configuration et affectation des vFPGA. Le prototype permet de transformer les FPGA en vFPGA avec une gestion d'état et un frontend statique. L'architecture de l'article permet de fournir des vFPGA, l'hyperviseur gère les communications de la puce entre les interfaces frontend et backend via le PCie (le prototype utilise un PCIe-Core de Xillybus pour l'accès DMA [26]). La RAM est virtualisé en utilisant des tables de pages gérés par l'hyperviseur hôte qui gère aussi l'état des vFPGA [22]. L'unité de contrôle de l'hyperviseur gère aussi le controlleur ICAP et les unités vControl qui maintiennent et supervise les vFPGA. Pour permettre un échange d'une grosse quantité de donnée, une interface FIFO est utilisé. L'état des échange et le contrôle d'information entre les VM (hôte) et les vFPGA sont controllés par l'utilisateur via une interface mémoire. Celle-ci est principalement vouée à des transferts simples et aux tâches de configuration comme la réinitialisation, la gestion d'état (pause, exécution, relecture etc...). De plus, une région de la mémoire décrite par l'utilisateur peut servir commme E/S virtuelle.

B. Configuration de l'hyperviseur FPGA

L'hyperviseur FPGA s'occupe de la gestion des vFPGA, leur encapsulation, la gestion de l'état et la reconfiguration grâce à l'ICAP. L'interaction entre l'hôte et l'hyperviseur FPGA repose sur la mémoire de configuration composé de la configuration de l'hyperviseur FPGA (état du système, donnée de configuration et état général) et l'administration des vFPGA. Les clés AES sont utilisés pour le chiffrement des flux binaires vFPGA afin d'avoir une validation supplémentaire lors de la reconfiguration. Les informations dans l'hyperviseur FPGA ne sont accessibles et modifiable que par l'hyperviseur hôte.

C. Le rôle de l'hôte hyperviseur

Le concept de virtualisation du prototype repose sur - le passage pour les canaux FIFO des vFPGA - la mémoire de configuration de l'hyperviseur hôte aux VM utilisateur (DomU). - la mémoire de l'hyperviseur FPGA à la VM de gestion (Dom0). Les FIFO frontend et les mémoires FPGA sont reliés aux fichiers de périphérique au sein de l'hyperviseur hôte. Le système du prototype transmet les périphériques de l'utilisateur à la VM en utilisant une communication inter domaine comme vchan de Zhang et al [27] pour notre environement virtualisé Xen , similaire au pvFPGA[28]. Seul les hyperviseurs peuvent configurer les régions vFPGA sur le FPGA car la VM de gestion accède à la mémoire de configuration de l'hyperviseur et à l'ICAP sur le FPGA.

D. Mappage des vFPGA sur des FPGA physiques

Le prototype utilise six interfaces sur une puce Xillinx Virtex-7. En fonction des besoins, on peut utiliser jusqu'à six vFPGA de tailles différentes avec le même statique sans représentation. Il suffit d'une seule connexion frontend même si le vFPGA couvre plus d'une région. Afin de faciliter les migrations, tous ce qui compose les contexte de conception d'un vFPGA (registres, FIFO, BlockRAM) sont placés à la même position dans le vFPGA. A cause du fait que les FPGA interrompent les structures homogènes. Ils établissent dans le prototype, des vFPGA homogènes identiques entre eux en retirant les zones de contexte. Cette approche ofrre un double avantage : - on peut extraire d'un seul fichier masque le contenu des différents vFPGA - permet de fournir des vFPGA presque identiques

Fiabilité (A voir)

[29] [30]

Configuration (A voir)

[31]

Sécurité

Impacts sécurité sur l'architechture

Confidentialité des données

Cryptologie

[7] [32] [33] [34] [6] [35] [30] [5]

Exemple d'utilisation

Machine Learning

[36] [33] [37]

Data Caching

Les magasins de valeurs-clés (KVS) deviennent de plus en plus courant dans les infrastructures Web mais ces technologies sont souvent implémentées sur des serveurs dont les performances sont limitées[38].

Memcached, déjà utilisé par des grands acteurs informatique tels que Facebook, Wikipédia, Flicker et Wordpress [39] est alors limité par le processeur dans des infrastructures classiques[40].

Les projets visant à utiliser des FPGA comme accélerateur memcached permettent d'obtenir de meilleurs résultats sur trois domaines : la latence, le débit en opérations par secondes et le cout.

Projet Gain Débit (IOPS) Gain Latence Cout Solution Comparée
LegUp Computing Inc sur Amazon F1 [41] 885% plus IOPS 898% plus rapide 1013% plus d'opérations pour le même prix Amazon ElastiCache (1400 connexions simultanées)

[42] [43] [44] [45]

Calculs

[26] D. Yin, G. Li, and K.-d. Huang, “Scalable mapreduce framework on fpga accelerated commodity hardware,” in Internet of Things, Smart Spaces, and Next Generation Networking, ser. Lecture Notes in Computer Science, S. Andreev, S. Balandin, and Y. Koucheryavy, Eds., vol. 7469. Springer Berlin Heidelberg, 2012, pp. 280–294.

[29] Y. Shan, B. Wang, J. Yan, Y. Wang, N. Xu, and H. Yang, “Fpmr: Mapreduce framework on fpga,” in Proceedings of the 18th Annual ACM/SIGDA International Symposium on Field Programmable Gate Arrays, ser. FPGA ’10. New York, NY, USA: ACM, 2010, pp. 93–102. [Online]. Available: http://doi.acm.org/10.1145/1723112.1723129

[35] Y. M. Choi and H. K. H. So, “Map-reduce processing of k-means algorithm with fpga-accelerated computer cluster,” in Application-specific Systems, Architectures and Processors (ASAP), 2014 IEEE 25th International Conference on, June 2014, pp. 9–16.


FPGA Accelerated INDEL Realignment in the Cloud https://ieeexplore.ieee.org/document/8675252

[32] C. Kachris, D. Diamantopoulos, G. C. Sirakoulis, and D. Soudris, “An fpga-based integrated mapreduce accelerator platform,” Journal of Signal Processing Systems, pp. 1–13, 2016. [Online]. Available: http://dx.doi.org/10.1007/s11265-016-1108-7

[33] C. Kachris, G. C. Sirakoulis, and D. Soudris, “A reconfigurable mapreduce accelerator for multi-core all-programmable socs,” in System-on-Chip (SoC), 2014 International Symposium on, Oct 2014, pp. 1–6.

[34] K. Neshatpour, M. Malik, M. A. Ghodrat, A. Sasan, and H. Homayoun, “Energy-efficient acceleration of big data analytics applications using fpgas,” in Big Data (Big Data), 2015 IEEE International Conference on, Oct 2015, pp. 115–123.

Accélarateur Materiel

[19]

Autres

[8] [6] [18]


Les solutions du marché

Avec la croissance des demandes de ressources FPGA, les offres commencent a émerger sur le marcher du cloud.

Amazon a son offre EC2 F1 pour permettre la création d’une accélération matérielle personnalisée[46]. OVH lance en 2017[47] une offre "Acceleration as a Service" en partenariat avec Accelize basée sur la technologie Xilinx[48].

Entreprise Offre Nombre de FPGA Constructeur FPGA Prix
Amazon F1 f1.2xlarge 1 FPGA Xilinx 1,65 USD/heure
Amazon F1 f1.4xlarge 2 FPGA Xilinx 3,30 USD/heure
Amazon F1 f1.16xlarge 8 FPGA Xilinx 13,20 USD/heure
Accelize Starter - Xilinx Free
Accelize Pro - Xilinx 990 USD/mois
Accelize Entreprise - Xilinx 990 USD/mois

Environnement

[15] [6] [49] [37]

Références

  1. El-Ghazawi 2008, p. 69
  2. Fahmy 2015, p. 430
  3. Fahmy 2015, p. 431
  4. a et b Fahmy 2015, p. X
  5. a et b Cilardo 2016, p. X
  6. a b c et d Will 2017, p. X
  7. a et b Chen 2014, p. X
  8. a et b Zhang 2019, p. X
  9. Skhiri 2019, p. X
  10. Ryota 2019, p. X
  11. Zhang 2017, p. X
  12. Zha 2020, p. X
  13. Iordache 2016, p. X
  14. Tarafdar 2017, p. X
  15. a et b Proaño Orellana 2016, p. X
  16. Ringlein 2019, p. X
  17. Mbongue 2018, p. X
  18. a et b Byma 2014, p. X
  19. a et b Al-Aghbari 2019, p. X
  20. Knodel 2017, p. 34
  21. J.E. 2005, p. 36
  22. Knodel 2016, p. 58
  23. Vivado-Design
  24. Knodel 2017, p. 35
  25. Knodel 2015, p. 341
  26. Xillybus
  27. Zhang 2007, p. 185
  28. Skhiri 2019, p. 1
  29. Keller 2019, p. X
  30. a et b Gnad 2017, p. X
  31. Kulanov 2018, p. X
  32. Bobda 2019, p. X
  33. a et b Hussain 2018, p. X
  34. Tian 2020, p. X
  35. La 2020, p. X
  36. Chen 2019, p. X
  37. a et b Wang 2019, p. X
  38. Blott 2015, p. 1
  39. Choi 2018, p. 1
  40. Chalamalasetti 2013, p. 245
  41. Choi 2018, p. 8
  42. Chalamalasetti 2013, p. X
  43. Blott 2015, p. X
  44. Lim 2013, p. X
  45. Lavasani 2014, p. X
  46. Amazon F1
  47. OVH Accelize
  48. Accelize
  49. Fowers 2012, p. X

Bibliographie

Document utilisé pour la rédaction de l’article : document utilisé comme source pour la rédaction de cet article.


  • (en) Fei Chen, Yi Shan, Yu Zhang, Yu Wang, Hubertus Franke, Xiaotao Chang et Kun Wang, « Enabling FPGAs in the cloud », Proceedings of the 11th ACM Conference on Computing Frontiers,‎ , p. 1-10 (ISBN 9781450372558, DOI 10.1145/2597917.2597929)
  • (en) Yue Zha et Jing Li, « Virtualizing FPGAs in the Cloud », ASPLOS '20: Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems,‎ , p. 845-858 (ISBN 9781450371025, DOI 10.1145/3373376)
  • (en) Watanabe Ryota, Saika Ura, Qian Zhao et Takaichi Yoshida, « Implementation of FPGA Building Platform as a Cloud Service », HEART 2019: Proceedings of the 10th International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies,‎ , p. 1-6 (ISBN 9781450372558, DOI 10.1145/3337801.3337814)
  • (en) Anca Iordache, Pierre Guillaume, Peter Sanders, Jose Gabriel de F. Coutinho et Mark Stillwell, « High performance in the cloud with FPGA groups », Proceedings of the 9th International Conference on Utility and Cloud Computing,‎ , p. 1-10 (ISBN 9781450346160, DOI 10.1145/2996890.2996895)
  • (en) Christophe Bobda et Ken Eguro, « Introduction to the Special Section on Security in FPGA-accelerated Cloud and Datacenters », ACM Transactions on Reconfigurable Technology and Systems,‎ , p. 1-10 (ISSN 1936-7406, DOI 10.1145/3352060)
  • (en) Naif Tarafdar, Thomas Lin, Eric Fukuda, Hadi Bannazadeh, Alberto Leon-Garcia et Paul Chow, « Enabling Flexible Network FPGA Clusters in a Heterogeneous Cloud Data Center », Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays,‎ , p. 237–246 (ISBN 9781450343541, DOI 10.1145/3020078.3021742)
  • (en) Ke Zhang, Yisong Chang, Mingyu Chen, Yungang Bao et Zhiwei Xu, « Computer Organization and Design Course with FPGA Cloud », Proceedings of the 50th ACM Technical Symposium on Computer Science Education,‎ , p. 927–933 (ISBN 9781450358903, DOI 10.1145/3287324.3287475)
  • (en) Jiansong Zhang, Yongqiang Xiong, Ningyi Xu, Ran Shu, Bojie Li, Peng Cheng et Guo Chen, « The Feniks FPGA Operating System for Cloud Computing », Proceedings of the 8th Asia-Pacific Workshop on Systems,‎ , p. 1–7 (ISBN 9781450351973, DOI 10.1145/3124680.3124743)
  • (en) Andrew M. Keller, « Impact of Soft Errors on Large-Scale FPGA Cloud Computing », Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays,‎ , p. 272–281 (ISBN 9781450361378, DOI 10.1145/3289602.3293911)
  • (en) Yao Chen, Jiong He, Xiaofan Zhang, Cong Hao et Deming CHen, « Cloud-DNN: An Open Framework for Mapping DNN Models to Cloud FPGAs », Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays,‎ , p. 73–82 (ISBN 9781450361378, DOI 10.1145/3289602.3293915)
  • (en) Hussain Siam U, Bita Darvish Rouhani, Mohammad Ghasemzadeh et Farinaz Koushanfar, « MAXelerator: FPGA accelerator for privacy preserving multiply-accumulate (MAC) on cloud servers », DAC '18: Proceedings of the 55th Annual Design Automation Conference,‎ , p. 1-6 (DOI 10.1145/3195970.3196074)
  • (en) Rym Skhiri, Virginie Fresse, Jean Paul Jamont, Benoit Suffran Suffran et Jihene Malek, « From FPGA to Support Cloud to Cloud of FPGA: State of the Art », International Journal of Reconfigurable ComputingVolume 2019, Article ID 8085461, 17 pages,‎ , p. 1-17 (DOI 10.1155/2019/8085461)
  • (en) Jiasheng Wang, Yu Zhou, Yuyang Sun, Keyang Li et dand JunLiu, « Cloud Server Oriented FPGA Accelerator for LongShort-Term Memory Recurrent Neural Networks », IOP Conf. Series: Journal of Physics: Conf. Series1284 (2019) 012044,‎ , p. 1-11 (DOI 10.1088/1742-6596/1284/1/012044)
  • (en) Julio Proaño Orellana, Blanca Caminero, Carmen Carrión, Luis Tomas, Selome Kostentinos Tesfatsion et Johan Tordsson, « FPGA-Aware Scheduling Strategies at Hypervisor Level inCloud Environments », Scientific Programming Volume 2016, Article ID 4670271, 12 pages,‎ , p. 1-13 (DOI 10.1155/2016/4670271)
  • (en) Oliver Knodel, Paul R. Genssler et Rainer G. Spallek, « Virtualizing Reconfigurable Hardware to Provide Scalability in Cloud Architectures », CENICS 2017 : The Tenth International Conference on Advances in Circuits, Electronics and Micro-electronics,‎ , p. 33-38 (ISBN 978-1-61208-585-2)
  • (en) Shanquan Tian, Wenjie Xiong, Ilias Giechaskiel, Kasper Rasmussen et Jakub Szefer, « Fingerprinting Cloud FPGA Infrastructures », FPGA 2020 - 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays,‎ , p. 1-8 (DOI 10.1145/3373087.3375322)
  • (en) Burkhard Ringlein, Francois Abel, Alexander Ditter, Beat Weiss, Christoph Hagleitner et Dietmar Fey, « System architecture for network-attached FPGAs inthe Cloud using partial reconfiguration », Accepted for FPL 2019,‎ , p. 1-8 (DOI 10.1109/FPL.2019.00054)
  • (en) J. Mbongue, F. Hategekimana, D. Tchuinkou Kwadjo, D. Andrews et C. Bobda, « FPGAVirt: A Novel Virtualization Framework for FPGAs in the Cloud », 2018 IEEE 11th International Conference on Cloud Computing (CLOUD),‎ , p. 862-865 (ISSN 2159-6190, DOI 10.1109/CLOUD.2018.00122)
  • (en) S. A. Fahmy, K. Vipin et S. Shreejith, « Virtualized FPGA Accelerators for Efficient Cloud Computing », 2015 IEEE 7th International Conference on Cloud Computing Technology and Science (CloudCom),‎ , p. 430-435 (DOI 10.1109/CloudCom.2015.60)
  • (en) V. Kulanov, A. Perepelitsyn et I. Zarizenko, « Method of development and deployment of reconfigurable FPGA-based projects in cloud infrastructure », 2018 IEEE 9th International Conference on Dependable Systems, Services and Technologies (DESSERT),‎ , p. 103-106 (DOI 10.1109/DESSERT.2018.8409108)
  • (en) A. Cilardo et D. Argenziano, « Securing the cloud with reconfigurable computing: An FPGA accelerator for homomorphic encryption », 2016 Design, Automation Test in Europe Conference Exhibition (DATE),‎ , p. 1622-1627 (ISSN 1558-1101)
  • (en) S. Byma, J. G. Steffan, H. Bannazadeh, A. L. Garcia et P. Chow, « FPGAs in the Cloud: Booting Virtualized Hardware Accelerators with OpenStack », 2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines,‎ , p. 109-116 (DOI 10.1109/FCCM.2014.42)
  • (en) A. A. Al-Aghbari et M. E. S. Elrabaa, « Cloud-Based FPGA Custom Computing Machines for Streaming Applications », IEEE Access, vol. 7,‎ , p. 38009-38019 (ISSN 2169-3536, DOI 10.1109/ACCESS.2019.2906910)
  • (en) T. La, K. Matas, K. Pham et D. Koch, « Securing FPGA Accelerators at the Electrical Level for Multi-tenant Platforms », 2020 30th International Conference on Field-Programmable Logic and Applications (FPL),‎ , p. 361-362 (ISSN 1946-1488, DOI 10.1109/FPL50879.2020.00069)
  • (en) D. R. E. Gnad, F. Oboril et M. B. Tahoori, « Voltage drop-based fault attacks on FPGAs using valid bitstreams », 2017 27th International Conference on Field Programmable Logic and Applications (FPL),‎ , p. 1-7 (ISSN 1946-1488, DOI 10.23919/FPL.2017.8056840)
  • (en) J. Fowers, G. Brown, P. Cooke et G. Stitt, « A Performance and Energy Comparison of FPGAs, GPUs, and Multicores for Sliding-Window Applications », Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays,‎ , p. 47-56 (ISBN 9781450311557, DOI 10.1145/2145694.2145704)
  • (en) Sai Rahul Chalamalasetti, Kevin Lim, Mitch Wright, Alvin AuYoung, Parthasarathy Ranganathan et Martin Margala, « An FPGA memcached appliance », Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays,‎ , p. 245-254 (ISBN 9781450318877, DOI 10.1145/2435264.2435306)
  • (en) Michaela Blott, Liu Ling, Kimon Karras et Kees Vissers, « Scaling out to a Single-Node 80Gbps Memcached Server with 40terabytes of Memory », Proceedings of the 7th USENIX Conference on Hot Topics in Storage and File Systems,‎ , p. 1-8 (DOI 10.5555/2827701.2827709)
  • (en) Kevin Lim, David Meisner, Ali G. Saidi, Parthasarathy Ranganathan et Thomas F. Wenisch, « Thin Servers with Smart Pipes: Designing SoC Accelerators for Memcached », Proceedings of the 40th Annual International Symposium on Computer Architecture,‎ , p. 36-47 (ISSN 0163-5964, DOI 10.1145/2485922.2485926)
  • (en) Jongsok Choi, Ruolong Lian, Zhi Li, Andrew Canis et Jason Anderson, « Accelerating Memcached on AWS Cloud FPGAs », Proceedings of the 9th International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies,‎ , p. 1-8 (ISBN 9781450365420, DOI 10.1145/3241793.3241795)
  • (en) Tarek El-Ghazawi, Kris Gaj, Volodymyr Kindratenko et Duncan Buell, « The Promise of High-Performance Reconfigurable Computing », IEEE Computer, vol. 41, no. 2, pp. 69–76, 2008,‎ , p. 1-8 (DOI 10.1109/MC.2008.65)
  • (en) Wei Wang, Miodrag Bolic et Jonathan Parri, « pvFPGA: Accessing an FPGA-based hardware accelerator in a paravirtualized environment », 2013 International Conference on Hardware/Software Codesign and System Synthesis,‎ , p. 1-9 (ISBN 978-1-4799-1417-3, DOI 10.1109/CODES-ISSS.2013.6658997)
  • (en) J.E. Smith et Ravi Nair, « The architecture of virtual machines », Computer ( Volume: 38, Issue: 5, May 2005),‎ , p. 32-38 (DOI 10.1109/MC.2005.173)
  • (en) Oliver Knodel, Paul R. Genssier et Rainer G. Spallek, « Migration of long-running Tasks between Reconfigurable Resources using Virtualization », ACM SIGARCH Computer Architecture News, vol. 44, no Issue 4,‎ , p. 56-61 (ISSN 0163-5964, DOI 10.1145/3039902)
  • (en) Oliver Knodel et Rainer G. Spallek, « Computing framework fordynamic integration of reconfigurable resources in a cloud », 2015 Euromicro Conference on Digital System Design,‎ , p. 337 - 344 (DOI 10.1109/DSD.2015.37)
  • (en) Xiaolan Zhang, Suzanne McIntosh, Pankaj Rohatgi et John Linwood Griffin, « XenSocket: A High-Throughput Interdomain Transport for Virtual Machines », ACM/IFIP/USENIX International Conference on Distributed Systems Platforms and Open Distributed Processing Middleware 2007,‎ , p. 184 - 203 (ISBN 978-3-540-76777-0, DOI 10.1007/978-3-540-76778-7_10)

Liens externes

  • (en) « Accelize » (consulté le )